Power analysis is one of the important aspects of the IC design flow. In today's era, optimizing power can be a very convoluted and crucial process due to the complex nature of the chip. The des...
In today's semiconductor era, every minute, you always look for the opportunity to enhance your skills and learning growth and want to keep up to date with the technology. This could mean you wou...
This blog introduces Voltus InsightAI, an AI-driven in-design solution for early prediction of IR problems and automated IR drop closure.(read more )
In recent years, the requirements and performance goals for designers have become more complex and even more demanding. Therefore, the time spent searching for a solution should be minimized as m...
As you may already know, you can get notified via Cadence Learning and Support website of recently published content and new or updated releases of Cadence Software. Go to MY SUPPORT > MY ACCOUN...
“Move fast and break things,” a motto coined by Mark Zuckerberg, captures the ethos of Silicon Valley where creative disruption remakes the world through the invention of new technologies. Fr...
https://community.cadence.com/cadence_blogs_8/b/di/posts/learn-fast-and-make-things
INSIGHTS FROM A CONVERSATION WITH MATTI KÄYRÄ OF SOC HUB, FINLAND The relentless pursuit of innovation in the intersection of technology domains such as AI, imaging, and security has become...
In the field of electronics design, validating circuit designs has always been a challenge. The DSPF file format, traditionally used for this purpose, is often limiting in terms of interactivity ...
The prominent components of the EDA flow, like synthesis, place and route, and signoff, sometimes receive more attention than ATPG (Automatic Test Pattern Generation) and testing, which are the r...
In this fast-changing world, every minute, you grab the opportunity to enhance your skills and learning growth. But what’s next? When you gain proficiency and credit for your skills, don’t ...
The ultimate goal of the Cadence Genus Synthesis Solution is very simple: deliver the best possible productivity and Power, Performance, and Area (PPA) during register-transfer-level (RTL) logi...
Training Byes are short technical videos, but they are designed to help you in multiple ways to understand and learn the concepts and methodologies along with demos, which will ultimately help yo...
Graphics processing units (GPUs) have significantly transcended their original purpose, now at the heart of myriad high-performance computing applications. GPUs accelerate processes in fields ran...
Another year has gone by, and – as always - we will not miss to look back at our most-viewed blogs of the year and give an overview OF what else happened in our world of Education throughout th...
If you missed joining or registering for the RTL-to-GDSII webinar, the complete recording is now available as a Training Byte at the Cadence support site so that you can watch and explore the co...